Cómo Crear un Retardo de Pulso en Verilog

Verilog, un descriptores de hardware lenguaje que se utiliza para generar circuitos digitales para programable chips, puede ser utilizado para escribir el código que describe los circuitos digitales, simular el comportamiento de los circuitos de programa y el hardware. Un buen ejemplo de un simple Verilog circuito es el que crea un pulso después de un breve retraso. Usted puede escribir un Verilog programa que lleva a cabo este con un par de líneas de código sin ninguna experiencia previa en programación.


Cómo Crear un Retardo de Pulso en Verilog
Verilog, un descriptores de hardware lenguaje que se utiliza para generar circuitos digitales para programable chips, puede ser utilizado para escribir el código que describe los circuitos digitales, simular el comportamiento de los circuitos de programa y el hardware. Un buen ejemplo de un simple Verilog circuito es el que crea un pulso después de un breve retraso. Usted puede escribir un Verilog programa que lleva a cabo este con un par de líneas de código sin ninguna experiencia previa en programación.
Cosas Que necesitará
  • Verilog Entorno de Desarrollo Integrado (IDE), como Altera Quartus II

  • Abrir la Verilog IDE y crear un nuevo proyecto haciendo clic en 'Archivo', seleccionando la opción ' Asistente para Nuevo Proyecto.' Un proyecto de creación de la ventana aparece. Seleccione un nombre y un directorio para este proyecto. Por ejemplo, puede asignar un nombre al proyecto 'retraso' y lo coloca en el directorio 'C:/Verilog Proyectos'.
  • Pulse el botón 'Siguiente' para desplazarse por el resto de las páginas, dejando todos los ajustes a sus valores predeterminados. Pulse el botón 'Finalizar' para crear el proyecto.
  • Seleccione 'Archivo' y luego en 'Nuevo' para abrir un archivo de la ventana de creación. Seleccione 'Verilog HDL Archivo' y pulse el botón 'ACEPTAR' para agregar un nuevo Verilog archivo para el proyecto. Un espacio en blanco Verilog archivo aparece en la principal ventana del editor de texto.
  • Definir un nuevo 'módulo' que tiene el mismo nombre que el proyecto. En este módulo se va de la casa todo el código para el retraso del pulso programa. Cuando se define un módulo, usted puede también definir algunos parámetros, tales como entradas y salidas. El módulo de retardo necesita dos parámetros: una señal de reloj y una salida para el pulso generado. Escriba lo siguiente para definir el módulo con estos parámetros:
    módulo delay(clock, pulso)
  • Definir dos señales: una entrada de señal de reloj y una salida de señal de pulso. La señal de reloj se utiliza para contar una secuencia corta antes de enviar un pulso de la señal a través de la salida. Esto permite un tiempo de retardo basado en el número de reloj de transiciones que se producen. Escribir la siguiente señal definiciones:
    entrada de reloj de pulso de la salida
  • Definir un registro que almacena el número actual de reloj de las transiciones que se han producido. Desde este registro se utiliza para contar el número de transiciones, un buen nombre para él es 'contando'. Escriba lo siguiente para definir e inicializar este registro:
    reg recuento inicial de comenzar count = 0
  • Escribir la siguiente instrucción a ejecutar una secuencia de acciones con cada pulso de la señal de reloj:
    siempre @(posedge reloj)
  • Otras Personas Están Leyendo
    • Cómo Detectar una Frecuencia PWM
    • Cómo Crear una Modulación de Ancho de Pulso ( Pwm ) en un Verilog Módulo para Ejecutar un Motor o Servo
  • Incrementar el 'recuento' registro con cada transición del reloj:
    cuenta <= count 1
  • Prueba a ver si el 'recuento' registro es igual a 10, o algún otro valor arbitrario. Esto es cómo usted puede tener un tiempo de su retardo del pulso. El pulso es emitida sólo después de un número de transiciones de reloj. Si la frecuencia de reloj es de 10 ciclos por segundo, entonces el pulso se genera después de una demora de un segundo. Usted puede probar el 'recuento' registrar con un 'if', tales como las siguientes:
    if (count == 10)
  • Lugar de un solo bit en el 'pulso' de la señal de salida por escrito:
    pulso <= 1'b1
  • Final el 'if' con la siguiente declaración:
    fin
  • Finalizar el módulo con la siguiente declaración:
    endmodule
  • Compilar y probar el circuito. Este circuito genera un pulso que dura un solo reloj de transición. El pulso sólo se genera después de un determinado retraso, en este caso 10 reloj de transiciones. Puede cambiar este valor para el tiempo de la generación de pulsos para que coincida con sus criterios.








Como Crear un Retardo de Pulso en Verilog


Verilog, un descriptores de hardware lenguaje que se utiliza para generar circuitos digitales para programable chips, puede ser utilizado para escribir el codigo que describe los circuitos digitales, simular el comportamiento de los circuitos de programa y el hardware. Un buen ejemplo de un simple Verilog circuito es el que crea un pulso despues de un breve retraso. Usted puede escribir un Verilog programa que lleva a cabo este con un par de lineas de codigo sin ninguna experiencia previa en programacion.


Como Crear un Retardo de Pulso en Verilog
Verilog, un descriptores de hardware lenguaje que se utiliza para generar circuitos digitales para programable chips, puede ser utilizado para escribir el codigo que describe los circuitos digitales, simular el comportamiento de los circuitos de programa y el hardware. Un buen ejemplo de un simple Verilog circuito es el que crea un pulso despues de un breve retraso. Usted puede escribir un Verilog programa que lleva a cabo este con un par de lineas de codigo sin ninguna experiencia previa en programacion.
Cosas Que necesitara
  • Verilog Entorno de Desarrollo Integrado (IDE), como Altera Quartus II

  • Abrir la Verilog IDE y crear un nuevo proyecto haciendo clic en 'Archivo', seleccionando la opcion ' Asistente para Nuevo Proyecto.' Un proyecto de creacion de la ventana aparece. Seleccione un nombre y un directorio para este proyecto. Por ejemplo, puede asignar un nombre al proyecto 'retraso' y lo coloca en el directorio 'C:/Verilog Proyectos'.
  • Pulse el boton 'Siguiente' para desplazarse por el resto de las paginas, dejando todos los ajustes a sus valores predeterminados. Pulse el boton 'Finalizar' para crear el proyecto.
  • Seleccione 'Archivo' y luego en 'Nuevo' para abrir un archivo de la ventana de creacion. Seleccione 'Verilog HDL Archivo' y pulse el boton 'ACEPTAR' para agregar un nuevo Verilog archivo para el proyecto. Un espacio en blanco Verilog archivo aparece en la principal ventana del editor de texto.
  • Definir un nuevo 'modulo' que tiene el mismo nombre que el proyecto. En este modulo se va de la casa todo el codigo para el retraso del pulso programa. Cuando se define un modulo, usted puede tambien definir algunos parametros, tales como entradas y salidas. El modulo de retardo necesita dos parametros: una señal de reloj y una salida para el pulso generado. Escriba lo siguiente para definir el modulo con estos parametros:
    modulo delay(clock, pulso)
  • Definir dos señales: una entrada de señal de reloj y una salida de señal de pulso. La señal de reloj se utiliza para contar una secuencia corta antes de enviar un pulso de la señal a traves de la salida. Esto permite un tiempo de retardo basado en el numero de reloj de transiciones que se producen. Escribir la siguiente señal definiciones:
    entrada de reloj de pulso de la salida
  • Definir un registro que almacena el numero actual de reloj de las transiciones que se han producido. Desde este registro se utiliza para contar el numero de transiciones, un buen nombre para el es 'contando'. Escriba lo siguiente para definir e inicializar este registro:
    reg recuento inicial de comenzar count = 0
  • Escribir la siguiente instruccion a ejecutar una secuencia de acciones con cada pulso de la señal de reloj:
    siempre @(posedge reloj)
  • Otras Personas Estan Leyendo
    • Como Detectar una Frecuencia PWM
    • Como Crear una Modulacion de Ancho de Pulso ( Pwm ) en un Verilog Modulo para Ejecutar un Motor o Servo
  • Incrementar el 'recuento' registro con cada transicion del reloj:
    cuenta <= count 1
  • Prueba a ver si el 'recuento' registro es igual a 10, o algun otro valor arbitrario. Esto es como usted puede tener un tiempo de su retardo del pulso. El pulso es emitida solo despues de un numero de transiciones de reloj. Si la frecuencia de reloj es de 10 ciclos por segundo, entonces el pulso se genera despues de una demora de un segundo. Usted puede probar el 'recuento' registrar con un 'if', tales como las siguientes:
    if (count == 10)
  • Lugar de un solo bit en el 'pulso' de la señal de salida por escrito:
    pulso <= 1'b1
  • Final el 'if' con la siguiente declaracion:
    fin
  • Finalizar el modulo con la siguiente declaracion:
    endmodule
  • Compilar y probar el circuito. Este circuito genera un pulso que dura un solo reloj de transicion. El pulso solo se genera despues de un determinado retraso, en este caso 10 reloj de transiciones. Puede cambiar este valor para el tiempo de la generacion de pulsos para que coincida con sus criterios.

Cómo Crear un Retardo de Pulso en Verilog

Verilog, un descriptores de hardware lenguaje que se utiliza para generar circuitos digitales para programable chips, puede ser utilizado para escribir el código que describe los circuitos digitales, simular el comportamiento de los circuitos de programa y el hardware. Un buen ejemplo de un simple Verilog circuito es el que crea un pulso después de un breve retraso. Usted puede escribir un Verilog programa que lleva a cabo este con un par de líneas de código sin ninguna experiencia previa en programación.
Recommander aux amis
  • gplus
  • pinterest

Comentario

Dejar un comentario

Clasificación